Recent Publications in Intelligent Computing

Conference Papers/Presentations

  1. X. She, S. Dash, and S. Mukhopadhyay, “Sequence Approximation using Feedforward Spiking Neural Network for Spatiotemporal Learning: Theory and Optimization Methods,” International Conference on Learning Representation(ICLR), 2022.
  2. K. Samal, M. Wolf, and S. Mukhopadhyay, “Introspective Closed-Loop Perception for Energy-efficient Sensors,” IEEE International Conference on Advanced Video and Signal-based Surveillance (AVSS), 2021.
  3. N. Miller, Z. Wang, S. Dash, A. Khan, and S. Mukhopadhyay, “Characterization of Drain Current Variations in FeFETs for PIM-Based DNN Accelerators,” IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2021.
  4. P. Saha, M. Egerstedt, and S. Mukhopadhyay, “Neural Identification for Control”, accepted for publication at IEEE International Conference on Robotics and Automation (ICRA),2021.
  5. J. Woo, K. Jung, and S. Mukhopadhyay, “Efficient On-chip Acceleration of Machine Learning Models for Detection of RF Signal Modulation,” IEEE International Microwave Symposium (IMS), 2021.
  6. (Invited) M. Lee, She, B. Chakraborty, S. Dash, B. A. Mudassar, and S. Mukhopadhyay, “Reliable Edge Intelligence in Unreliable Environment” Design, Automation and Test in Europe (DATE), 2021
  7. (Invited) K. Samal, M. Wolf, and Mukhopadhyay, “Closed-loop Approach to Perception in Autonomous System” Design, Automation and Test in Europe (DATE), 2021
  8. M. Mukherjee, B. A. Mudassar, M. Lee and S. Mukhopadhyay, “Algorithm-Circuit Cross-layer Control for Digital Pixel Image Sensors,” IEEE Sensors 2020. Best Student Paper Award, Third Place.
  9. B. A. Mudassar, P. Saha and S. Mukhopadhyay, “Uncertainty Characterization in Active Sensor Systems with DNN-based Feedback Control,” IEEE Sensors 2020.
  10. S. Dash and S. Mukhopadhyay, “Hessian-Driven Unequal Parameter Protection for Robust DNN Inference,” IEEE/ACM International Conference on Computer Aided Design (ICCAD), Nov 2020.
  11. K. Samal, M. Wolf, and S. Mukhopadhyay, “Hybridization of Data and Model based Object Detection for Tracking in Flash Lidars,” IEEE International Joint Conference on Neural Network (IJCNN), July 2020
  12. Y. Long, E. Lee, D. Kim, and S. Mukhopadhyay, “Flex-PIM: A Ferroelectric FET based Vector Matrix Multiplication Engine with Dynamical Bitwidth and Floating Point Precision,” IEEE International Joint Conference on Neural Network(IJCNN), July 2020
  13. X. She, P. Saha, D. Kim, Y. Long, and S. Mukhopadhyay, “SAFE-DNN: A Deep Neural Network With Spike Assisted Feature Extraction For Noise Robust Inference,” IEEE International Joint Conference on Neural Network (IJCNN), July 2020
  14. Y. Long, E. Lee, D. Kim and S. Mukhopadhyay, “Q-PIM: A Genetic Algorithm based Flexible DNN Quantization Method and Application to Processing-In-Memory Platform,” IEEE/ACM Design Automation Conference (DAC), July 2020.
  15. E. Lee, B. A. Mudassar, T. Na and S. Mukhopadhyay, “WarningNet: A Deep Learning Platform for Early Warning of Task Failures under Input Perturbation for Reliable Autonomous Platforms,” IEEE/ACM Design Automation Conference (DAC), July 2020.
  16. P. Saha, A. Ali, B. A. Mudassar, Y. Long and S. Mukhopadhyay, “MagNet: Discovering Multi-agent Interaction Dynamics using Neural Network,” IEEE International Conference on Robotics and Automation (ICRA), May 2020.
  17. M. Lee, M. Mukherjee, P. Saha, M. F. Amir, T. Na, and S. Mukhopadhyay, “Effect of Process Variations in Digital Pixel Circuits on the Accuracy of DNN based Smart Sensor,” IEEE International Conference on Artificial Intelligence Circuits and Systems, March 2020.
  18. B. A. Mudassar, P. Saha, E. Gebhardt, D. Samal, T. Na, J. H. Ko, M. Wolf, and S. Mukhopadhyay, “A Camera with Brain: 3D-stacked Sensors with Embedded Machine Learning Based Feedback Control,” GOMACTECH, March 2020.
  19. M. Lee, B. A. Mudassar, T. Na, and S. Mukhopadhyay, “A Spatiotemporal Pre-processing Network for Activity Recognition under Rain,” British Machine Vision Conference(BMVC), Sept. 2019
  20. B. A. Mudassar and S. Mukhopadhyay, “Rethinking Convolutional Feature Extraction for Small Object Detection,” British Machine Vision Conference(BMVC), Sept. 2019
  21. T. Na, M. Lee, B. A. Mudassar, P. Saha, J. H. Ko,and S. Mukhopadhyay, “Mixture of Pre-processing Experts Model for Noise Robust Deep Learning on Resource Constrained Platforms,” IEEE International Joint Conference on Neural Network (IJCNN), July 2019.
  22. B. A. MudassarandS. Mukhopadhyay, “FocalNet – Foveal Attention for Post-processing DNN Outputs,” IEEE International Joint Conference on Neural Network (IJCNN), July 2019.
  23. X. She, Y. Long, and S. Mukhopadhyay, “Improving Robustness of ReRAM-based Spiking Neural Network Accelerator with Stochastic Spike-timing-dependent-plasticity,” IEEE International Joint Conference on Neural Network (IJCNN), July 2019.
  24. Y. Long and S. Mukhopadhyay, “Design of Reliable DNN Accelerator with Un-reliable ReRAM,” Design Automation and Test in Europe(DATE), March 2019.
  25. X. She, Y. Long,and S. Mukhopadhyay, “Fast and Low-Precision Learning in GPU-Accelerated Spiking Neural Network,” Design Automation and Test in Europe(DATE), March 2019.
  26. (Invited) A. Mudassar, P. Saha, Y. Long, M. F. Amir, E. Gebhardt, T. Na, J. H. Ko, M. Wolf and S. Mukhopadhyay, “A Camera With Brain – Embedding Machine Learning In 3d Image Sensor,” Design Automation and Test in Europe(DATE), March 2019.
  27. Y. Long, X. She, and S. Mukhopadhyay, “HybridNet: Integrating Model-based and Data-driven Learning to Predict Evolution of Dynamical Systems,”Conference on Robot Learning, 2018. Paper available in the Proceedings of Machine Learning Research.
  28. J. H. Ko, T. Na, M. F. Amir, and S. Mukhopadhyay, “Edge-Host Partitioning of Deep Neural Networks with Feature Space Encoding for Resource-Constrained Internet-of-Things Platforms,” IEEEInternational Conference on Advanced Video and Signal-based Surveillance(AVSS), 2018.
  29. P. Saha, B. A Mudassar, and S. Mukhopadhyay, “Adaptive Control of Camera Modality with Deep Neural Network-Based Feedback for Efficient Object Tracking,” IEEEInternational Conference on Advanced Video and Signal-based Surveillance (AVSS), 2018.
  30. Y. Long, T. Na, P. Rastogi, K. Rao, A. Khan, S. Yalamanchili, and S.  Mukhopadhyay, “A Ferroelectric FET based Power-efficient Architecture for Data-intensive Computing,” IEEE/ACM International Conference on Computer Aided Design (ICCAD), 2018. 
  31. B. A. Mudassar, J. H. Ko, and S. Mukhopadhyay, “Edge-Cloud Collaborative Processing for Intelligent Internet of Things: A Case Study on Smart Surveillance,” Design Automation Conference (DAC), 2018.
  32. T. Na, J. H. Ko, and S. Mukhopadhyay, “Cascade Adversarial Machine Learning Regularized with a Unified Embedding,” International Conference on Learning Representation (ICLR), 2018
  33. B. A. Mudassar, J. H. Ko, and S. Mukhopadhyay, “An Unsupervised Anomalous Event Detection Framework With Class Aware Source Separation,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), 2018.
  34. T. Na, J. H. Ko, and S. Mukhopadhyay, “Noise-Robust And Resolution-Invariant Image Classification With Pixel-Level Regularization,” IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), 2018.
  35. Y. Long, X. She, and S. Mukhopadhyay, “Accelerating Biophysical Neural Network Simulation with Region of Interest based Approximation,” Design, Automation, and Test in Europe (DATE), 2018.
  36. (Invited) S. Mukhopadhyay, M. Wolf, M. F. Amir, E. Gebahrdt, J. H. Ko, J. H. Kung, and B. A. Mudassar, “The CAMEL Approach to Stacked Sensor Smart Cameras, Design, Automation, and Test in Europe, 2018.
  37. T. Na, J. H. Ko, and S. Mukhopadhyay, “Cascade Adversarial Machine Learning  Regularized with a Unified Embedding,” Machine Learning and Computer Security Workshop, Neural Information Processing Systems (NIPS-Workshop), 2017.
  38. (Invited) J. H. Ko, Y. Long, M. F. Amir, D. Kim, J. Kung, T. Na, A. Trivedi, and S. Mukhopadhyay, “Energy-Efficient Neural Image Processing for Internet-of-Things Edge Devices,” IEEE International Midwest Symposium on Circuits and Systems (MWSCAS 2017), Aug. 2017.
  39. J. Kung, Y. Long, D. Kim, and S. Mukhoapdhyay, “A Programmable Hardware Accelerator for Simulating Dynamical Systems,” IEEE/ACM International Symposium on Computer Architecture (ISCA), 2017.
  40. J.H. Ko, T. Na, and S. Mukhopadhyay, “Design of An Energy-Efficient Accelerator for Training of Convolutional Neural Networks using Frequency-Domain Computation,”  Design Automation Conference (DAC), 2017.
  41. T. Na, J. H. Ko, J. Kung, and S. Mukhopadhyay, “On-Chip Training of Recurrent Neural Networks with Limited Numerical Precision,” International Joint Conference on Neural Network (IJCNN), May 2017.
  42. J. H. Ko, D. Kim, T. Na, J. Kung, and S. Mukhopadhyay, “Adaptive Weight Compression for Memory-Efficient Neural Networks,” Design, Automation, and Test in Europe (DATE 2017).
  43. J. Kung, Y. Long, and S. Mukhopadhyay, “An Energy-Efficient Physical Platform for Solving Differential Equations,” International Workshop on Post-Moore’s Era Supercomputing (PMES), 2016.
  44. T. Na and S. Mukhopadhyay, “Speeding up Convolutional Neural Network Training with Dynamic Precision Scaling and Flexible Multiplier-Accumulator,” IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2016
  45. J. H. Kung, D. Kim, and S. Mukhopadhyay, “Dynamic Approximation with Feedback Control for Energy-Efficient Recurrent Neural Network Hardware,” IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2016.
  46. Y. Long, E. M. Jung, J. Kung and S. Mukhopadhyay, “ReRAM Crossbar based Recurrent Neural Network for Human Activity Detection,” International Joint Conference on Neural Network (IJCNN), 2016.
  47. D. Kim, J. Kung, S. Chai, S. Yalamanchili, and S. Mukhopadhyay,” Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory,” ACM/IEEE International Symposium on Computer Architecture (ISCA), 2016.
  48. A. Trivedi, R. Pandey, H. Liu, S. Datta, and S. Mukhopadhyay, “Gate/Source Overlapped Heterojunction Tunnel FET for non-Boolean Associative Processing with Plasticity,” IEEE International Electron Device Meeting (IEDM), Dec. 2015.
  49. J. H. Kung, D. Kim, and S. Mukhopadhyay, “A Power-Aware Digital Feedforward Neural Network Platform with Backpropagation Driven Approximate Synapses,” IEEE/ACM International Symposium on Low Power Electronic Design (ISLPED), July 2015.
  50. A. Trivedi, M. F. Amir, and S. Mukhopadhyay, “Ultra-low Power Electronics with Si/Ge Tunnel FET,” Design, Automation, and Test in Europe (DATE), March 2014.
  51. A. Trivedi, S. Carlo, and S. Mukhopadhyay, “Exploring Tunnel-FET for Ultra Low Power Analog Applications: A Case Study on Operational Transconductance Amplifier,” Design Automation Conference (DAC), June 2013.

Journal Articles

  1. P. Saha and S. Mukhopadhyay, “Unraveled Multilevel Transformation Networks for Predicting Sparsely-Observed Spatiotemporal Dynamics,” accepted for publication in Philosophical Transactions of the Royal Society A – Mathematical, Physical, and Engineering Sciences.
  2. D. Kim, X. She, E. Lee, B. Kang, and S. Mukhopadhyay, “MONETA: A Processing-In-Memory-based Hardware Platform for the Hybrid Convolutional Spiking Neural Network with On-line Learning,” accepted for publication in Frontiers in Neuroscience.
  3. S. Dash, Y. Luo, A. Lu, S. Yu, and S. Mukhopadhyay, “Robust Processing-In-Memory with Multi-bit ReRAM using Hessian-driven Mixed-Precision Computation”, accepted for publication at IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD).
  4. K. Samal, P. Saha, M. Wolf, and S. Mukhopadhyay, “Task-driven RGB-Lidar Fusion for Object Tracking in Resource-Efficient Autonomous System”, accepted for publication at IEEE Transactions on Intelligent Vehicles (TIV).
  5. Kang, A. Lu, Y. Long, D. Kim, S. Yu, and S. Mukhopadhyay, “Genetic Algorithm based Energy-Aware CNN Quantization for Processing-In-Memory Architecture,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 11, no. 4, December 2021, pp. 649-662.
  6. P. SahaS. Dash, and S. Mukhopadhyay, “Physics-Incorporated Convolutional Recurrent Neural Networks for Source Identification and Forecasting of Dynamical Systems”,Neural Networks, vol. 144, December 2021, Pages 359-371.
  7. N. E. Miller, Z. Wang, S. Dash, A. I. Khan, and S. Mukhopadhyay “Impact of HKMG and FDSOI FeFET Drain Current Variation in Processing-in-Memory Architecture,” Journal of Materials Research, vol. 36, no. 21, November 2021, pp. 4379–4393.
  8. B. Chakrabarty and S. Mukhopadhyay, “Characterization of Generalizability of Spike Time Dependent Plasticity trained Spiking Neural Networks,” Frontiers of Neuroscience, vol. 15, October 2021.
  9. B. Chakrabarty, X She, and S. Mukhopadhyay “A Fully Spiking Hybrid Neural Network for Energy-Efficient Object Detection,” IEEE Transactions on Image Processing, vol. 30, October 2021, pp. 9014-9029,
  10. X. She, Y. Long, D. Kim, and S. Mukhopadhyay, “ScieNet: Deep Learning with Spike-assisted Contextual Information Extraction”, Pattern Recognition, vol. 118, October 2021, 108002
  11. X. She and S. Mukhopadhyay, “SPEED: Spiking Neural Network with Event-driven Unsupervised Learning and Near-real-time Inference for Event-based Vision,” IEEE Sensors Journal, vol. 21, no. 18, Sept. 2021, pp. 20578 – 20588.
  12. M. Mukherjee, B. A. Mudassar, M. Lee, E. Lee, and S. Mukhopadhyay, “Energy Efficient Pixel-Parallel Read-Out Circuits for Digital Image Sensors using Cross-Layer Pixel Depth Control,” accepted for publication at IEEE Sensors Journal in the special issue on “Selected Papers from IEEE Sensors 2020”
  13. P. Saha and S. Mukhopadhyay, “A Deep Learning-based Collocation Method for Modeling Unknown PDEs from Sparse Observation”, IEEE Access, April 2021, pp. 64200 – 64210.
  14. B. Mudassar, P. Saha, M. Wolf, and S. Mukhopadhyay, “A Task-Driven Feedback Imager with Uncertainty Driven Hybrid Control”, Sensors, April 2021.
  15. M. Lee, B. Mudassar, and S.Mukhopadhyay, “Adaptive Camera Platform using Deep Learning based Early Warning of Task Failures”, IEEE Sensors Journal, vol. 21, no. 12, June 2021, pp. 13794 – 13804.
  16. P. Saha, M. Egerstedt, and S. Mukhopadhyay, “Neural Identification for Control”, IEEE Robotics and Automation Letters,2021, vol. 6, no. 3, July 2021, pp. 4648 – 4655.
  17. X. She, S. Dash, D. Kim, and S. Mukhopadhyay, “A Heterogeneous Spiking Neural Network for Unsupervised Learning of Spatiotemporal Patterns”, Frontiers In Neuroscience, January 2021.
  18. M. Lee, M. Mukherjee, E. Lee, P. Saha, M. Amir, T. Na, and S. Mukhopadhyay, “Cross-Layer Noise Analysis in Smart Digital Pixel Sensors with Integrated Deep Neural Network,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), vol. 10, no. 4, Dec. 2020, pp. 444-457.
  19. M. Mukherjee, Y. Long, J. Woo, D. Kim, N. M. Rahman, S. Dash, and S. Mukhopadhyay, “A Flexible Precision Multi-Format In-Memory Vector Matrix Multiplication Engine in 65nm CMOS with RF Machine Learning Support,” IEEE Solid State Circuits Letter (SSCL), vol. 3, 2020, pp. 450-453.
  20. D. Kim, X. She, N. M. Rahman, V. Chekuri, and S. Mukhopadhyay, “Processing-In-Memory based On-chip Learning with Spike-Time-Dependent-Plasticity in 65nm CMOS,” IEEE Solid State Circuits Letter (SSCL), vol. 3, 2020, pp. 278-281.
  21. K. Samal, M. Wolf, and S. Mukhopadhyay, “Attention-based Activation Pruning to Reduce Data Movement in Real-time AI: A Case-study on Local Motion Planning in Autonomous Vehicles,” IEEE Journal of Emerging Technology in Circuits and Systems (JETCAS), vol. 10, no. 3, September 2020, pp. 306-319.
  22. P. Saha and S. Mukhopadhyay, “Multispectral Information Fusion with Reinforcement Learning for Object Tracking in IoT Edge Devices,” IEEE Sensors Journal, vol. 20, no. 8, April 2020, pp. 4333 – 4344.
  23. B. Asgari, S. Mukhopadhyay, and S. Yalamanchili, “MAHASIM: Machine-Learning Hardware Acceleration Using a Software-Defined Intelligent Memory System,” Journal Sign Process System, Feb. 2020. https://doi.org/10.1007/s11265-019-01505-1
  24. Y. Long, D. Kim, E. Lee, P. Saha, B. A. Mudassar, X. She, A. I. Khan, and S. Mukhopadhyay, “A Ferroelectric FET based Processing-in-Memory Architecture for DNN Acceleration,” IEEE Journal on Exploratory Devices and Circuits (JXDC), vol. 5, no. 2, December 2019, pp. 113 – 122.
  25. S. MukhopadhyayY. LongB. Mudassar, C. Nair,B. H. Deprospo, H. M. Torun, M. Kathaperumal, V. Smet, D. Kim, S. Yalamanchili, and M. Swaminathan, “Heterogenous Integration for Artificial Intelligence: Challenges and Opportunities,” IBM Journal of Research and Development (IBM J. R&D), vol. 63 , no. 6 , Nov.-Dec. 2019, pp. 4.1-4.23.
  26. B. Mudassar, P. Saha, Y. Long, M. F. Amir, E. Gebhardt, T. Na, J. H. Ko, M. Wolf, and S. Mukhopadhyay, “CAMEL: An Adaptive Camera with Embedded Machine Learning Based Sensor Parameter Control,” IEEE Journal of Emerging Technologies in Circuits and Systems (JETCAS), vo. 9 , no. 3, Sept. 2019, pp. 498-508.
  27. J. H. Ko, D. Kim, T. Na, and S. Mukhopadhyay, “Design and Analysis of a Neural Network Inference Engine based on Adaptive Weight Compression,” IEEE Transactions on Computer Aided Design (TCAD), vol. 38 , no. 1, Jan. 2019, pp. 109 – 121.
  28. Y. Long, T. Na,and S. Mukhopadhyay, “ReRAM based Processing-in-memory Architecture for Recurrent Neural Network Acceleration,” IEEE Transactions on VLSI Systems (TVLSI), vol. 26, no.12, Dec. 2018, pp. 2781-2794.
  29. D. Kim, T. Na, S. Yalamanchili, and S. Mukhopadhyay, “DeepTrain: A Programmable Embedded Platform for Training Deep Neural Networks,” IEEE Transactions on CAD(TCAD), vol. 37, no. 11, Nov. 2018, pp. 2360 – 2370. Presented at ESWEEK, 2018. Nominated for the Best Paper Award.,
  30. J. H. Ko, T. Na, and S. Mukhopadhyay, “An Energy-Quality Scalable Wireless Image Sensor Node for Object-Based Video Surveillance,” IEEE Journal of Emerging and Selected Topics in Circuits and Systems(JETCAS), vol. 8, no. 3, Sept. 2018, pp. 591-602.
  31. M. F. Amir, J. H. Ko, T. Na, D. Kim, and S. Mukhopadhyay, “3D Stacked Image Sensor with Deep Neural Network Computation,” IEEE Sensors Journal (Sensor-J), vol. 18, no. 10,  May, 2018, pp. 4187 – 4199.
  32. J. H. Kung, D. Kim, and S. Mukhopadhyay, “Adaptive Precision Cellular Nonlinear Network,” IEEE Transactions of VLSI Systems (TVLSI), vol. 26, no. 5, May 2018, pp. 841-854.
  33. D. Kim, J. H. Kung, and S. Mukhopadhyay, “A Power-Aware Digital Multilayer Perceptron Accelerator with On-Chip Training based on Approximate Computing,” IEEE Transactions on Emerging Topics in Computing (IEEE TETC), vol. 5, no. 2, April-June 2017, pp. 164-178.
  34. J. Kung, D. Kim, and S. Mukhopadhyay, “On the Impact of Energy-Accuracy Tradeoff in a Digital Cellular Neural Network for Image Processing,” IEEE Transactions on Computer Aided Design (TCAD), vol. 34, no. 7, July 2015, pp. 1070-1081.
  35. A. Trivedi, S. Datta, and S. Mukhopadhyay, “Application of Silicon-Germanium Source Tunnel-FET to enable Ultra-low power Cellular Neural Network based Associative Memory,” IEEE Transactions on Electron Devices (TED), vol. 61, no. 11, Nov. 2014, pp. 3707-3715.
  36. A. Trivedi and S. Mukhopadhyay, “Potential of Ultra-low-power Image Proecssing with Si/Ge Tunneling Nanowires based Cellular Neural Network,” IEEE Transactions on Nanotechnology (TNANO), vol. 13, no. 4, July 2014, pp. 627-629. The top most accessed article in TNANO in every month from August, 2014 to February, 2015.