Recent Publications in Energy-Efficient Design

Integrated Voltage Regulation

Conference Presentations/Papers

  1. (Invited) V. Chekuri, A. Singh, N. M. Rahman, E. Lee, and S. Mukhopadhyay, “Aging Challenges in On-chip Voltage Regulator Design,” International Reliability Physics Symposium (IRPS), April 2020
  2. E. Lee, N. M. Rahman, V. Chekuri, and S. Mukhopadhyay, “An Authentication IC with Visible Light Based Interrogation in 65nm CMOS,” IEEE Custom Integrated Circuit Conference (CICC), March 2020.
  3. V. Chekuri, N. M. Rahman, E. Lee, A. Singh, and S. Mukhopadhyay, “A Fully Synthesized Integrated Buck Regulator with Auto-generated GDS-II in 65nm CMOS Process,” IEEE Custom Integrated Circuit Conference (CICC), March 2020.
  4. V. Chekuri, N. M. Rahman, N. Dasari, E. Lee, A. Singh, and S. Mukhopadhyay, “Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs,” GOMACTECH, March 2020.
  5. H. M. Torun, H. Yu, Dasari, V. Chekuri, A. Singh, J. Kim, S. K. Lim, S. Mukhopadhyay, and M. Swaminathan, “A Spectral Convolutional Net for Co-Optimization of Integrated Voltage Regulators and Embedded Inductors,” International Conference on Computer Aided Design (ICCAD), November 2019.
  6. V. Chekuri, N. Dasari, A. Singh, and S. Mukhopadhyay, “Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs,” IEEE International Symposium on Low-power Electronics and Design (ISLPED), July 2019.
  7. V. Chekuri, A. Singh, N. Dasari and S. Mukhopadhyay, “On the Effect of NBTI Induced Aging of Power Stage on the Transient Performance of On-Chip Voltage Regulators,” International Reliability Physics Symposium (IRPS), April 2019.
  8. H. M. Torun, N. Dasari, A. Singh, M. Lee, J. Kim, H. Park, H. Kwon, E. Qin, T. Krishna, S. K. Lim, S. Mukhopadhyay and M. Swaminathan, “Design Space Exploration of Power Delivery in Heterogeneous Integration,” GOMACTECH, March 2019.
  9. A. Singh, M. Kar, S. Matthew, A. Rajan, V. De, and S. Mukhopadhyay, “A 128b AES Engine with Higher Resistance to Power and Electromagnetic Side-Channel Attacks Enabled by a Security-Aware Integrated All-Digital Low-Dropout Regulator,” IEEE International Solid State Circuit Conference (ISSCC), Feb 2019.
  10. E. Lee, M.F. Amir, S. Sivapurapu, C. Pardue, H.M. Torun, M. Swaminathan, and S. Mukhopadhyay, “A System-In-Package Based Energy Harvesting for IoT Devices with Integrated Voltage Regulators and Embedded Inductors,” IEEE Electronic Component and Technology Conference (ECTC), 2018.
  11. V. Chekuri, M. Kar, A. Singh, and S. Mukhopadhyay, “Performance Based Tuning of an Inductive Integrated Voltage Regulator Driving a Digital Core against Process and Passive Variations,” Design, Automation, and Test in Europe (DATE), 2018.
  12. Z. Ahmed and S. Mukhopadhyay, “A Single-Inductor-Cascaded-Stage Topology for High Conversion Ratio Boost Regulator,” IEEE International Conference on Computer Design (ICCD), 2016.
  13. M. Kar, A. Singh, A. Rajan, V. De and S. Mukhopadhyay. “An Integrated Inductive VR with a 250MHz All-Digital Multisampled Compensator and on-Chip Auto-Tuning of Coefficients in 130nm CMOS.” IEEE European Solid State Circuit Conference (ESSCIRC), 2016.
  14. K. Z. Ahmed, M. F. Amir, J. H. Ko, and S. Mukhopadhyay, “Reconfigurable 96×128 Active Pixel Sensor with 2.1mW/mm2 Power Generation and Regulated Multidomain Power Delivery for Self-Powered Imaging,” IEEE European Solid State Circuit Conference (ESSCIRC), 2016.
  15. M. Kar, D. Lie, M. Wolf, V. De, and S. Mukhopadhyay, “Impact of Inductive Integrated Voltage Regulator on the Power Attack Vulnerability of Encryption Engines: A Simulation Study,” IEEE Custom Integrated Circuit Conference (CICC), Sept. 2014.
  16. S. Carlo, W. Yueh, and S. Mukhopadhyay, “On the Potential of 3D Integration of Inductive DC-DC Converter for High-Performance Power Delivery,” Design Automation Conference (DAC), June 2013.

Journal Articles

  1. E. Lee, N. Rahman, V. Chekuri, A. Singh and S. Mukhopadhyay, “A low power authentication IC for visible light based interrogation”, accepted for publication in IEEE Transactions on Industrial Electronics (TIE).
  2. V. Chekuri, M. Kar, A. Singh, A. K. Davis, M. L. F. Bellaredj, M. Swaminathan, and S. Mukhopadhyay, “An Inductive Voltage Regulator with Overdrive Tracking across Input Voltage in Cascoded Power Stage,” IEEE Transactions on Circuits and Systems – II: Express Briefs, vol. 27, no. 8, December 2020, 3083 – 3087.
  3. A. Singh, M. Kar, V. Chekuri, S. Matthew, A. Rajan, V. De, and S. Mukhopadhyay, “Enhanced Power & Electromagnetic SCA Resistance of Encryption Engines via a Security-Aware Integrated All-Digital LDO,” IEEE Journal of Solid State Circuits (JSSC), vol. 55, no. 2 , Feb. 2020, pp. 478 – 493.
  4. A. Singh, M. Kar, V. Chekuri, S. Matthew, A. Rajan, V. De, and S. Mukhopadhyay, “A Digital Low-Dropout Regulator with Auto-Tuned PID Compensator and Dynamic Gain Control for Improved Transient Performance under Process Variations and Aging,” IEEE Transactions on Power Electronics (TPEL), vol. 35, no. 3, March 2020, pp. 3242 – 3253.
  5. V. Chekuri, M. Kar, A. Singh, and S. Mukhopadhyay, “Auto-tuning of Integrated Inductive Voltage Regulator using On-chip Delay Sensor to Tolerate Process and Passive Variations,” IEEE Transactions on VLSI Systems (TVLSI), vol. 27, no. 8, Aug. 2019, pp. 1768 – 1778.
  6. A. Singh, M. Kar, S. Matthew, A. Rajan, V. De, and S. Mukhopadhyay, “Improved Power/EM Side Channel Attack Resistance of 128-bit AES Engines with Random Fast Voltage Dithering,” IEEE Journal of Solid State Circuits (JSSC), vol. 54, no. 2, Feb. 2019, pp. 569 – 583.
  7. M. Kar, A. Singh, A. Rajan, V. De, and S. Mukhopadhyay, “An All-Digital Fully Integrated Inductive Buck Regulator with A 250MHz Multisampled Compensator and A Lightweight Auto-Tuner in 130nm CMOS,” IEEE Journal of Solid State Circuits (JSSC), vol. 52, no. 7, July 2017, pp. 1825 – 1835.
  8. S. Carlo, and S. Mukhopadhyay, “A High Power Density, Dynamic Voltage Scaling Compatible, Single-Inductor Four-Output Regulator using a Power-Weighted CCM Controller and a Floating Capacitor-Based Output Filter,” IEEE Transactions on Power Electronics (TPE), Vol.31, No.6, June 2016, pp. 4252-4264.
  9. M. Kar, K. Z. Ahmed, and S. Mukhopadhyay, “A Scalable Hybrid Regulator For Down Conversion of High Input Voltage Using Low Voltage Devices,” IEEE Transactions on Power Electronics (TPE), Vol.31, No.3, March 2016, pp.1857-1862.
  10. K. Z. Ahmed and S. Mukhopadhyay, “A 190nA Bias Current 10mV Input Multi-Stage Boost Regulator with Intermediate Node Control to Supply RF Blocks in Self-powered Wireless Sensors,” IEEE Transaction on Power Electronics (TPE), Vol. 31, No. 2, Feb. 2016, pp. 1322-1333.
  11. Z. Ahmed, and S. Mukhopadhyay, “A wide conversion ratio, extended input 3.5µA Boost Regulator with 82% Efficiency for Low Voltage Energy Harvesting,” IEEE Transactions on Power Electronics, vol.29, no.9, Sept. 2014, pp. 4776-4786.

Electro-thermal Co-design 

Conference Papers (selected, see detail lists here)

  1. W. Yueh, Z. Wan, Y. Joshi, and S. Mukhopadhyay, “Experimental Characterization of In- Package Microfluidic Cooling on a System-On-Chip,” IEEE/ACM International Symposium on Low Power Electronic Design (ISLPED), July 2015. Best Paper Award
  2. W. Song, S. Mukhopadhyay, and S. Yalamanchili, “Managing Performance-Reliability Tradeoffs in Multicore Processors,” IEEE International Reliability Physics Symposium, April 2015. Best Student Paper Award.
  3. B. Alexandrov, K. Z. Ahmed, and S. Mukhopadhyay, “An On-Chip Autonomous Thermoelectric Energy Management System for Energy-Efficient Active Cooling,” IEEE International Symposium on Low-power Electronic Design (ISLPED), Aug. 2014. Best Paper Award.
  4. W. Yueh, K. Z. Ahmed, and S. Mukhopadhyay, “Field Programmable Thermal Emulator (FPTE): An All-Silicon Test Structure for Thermal Characterization of Integrated Circuits,” IEEE Semi Therm March 2014. Honorable Mention in the Best Paper Award Selection.

Journal Articles (selected, see detail lists here)

  1. W. Yueh, Z. Wan, H. Xiao, S. Yalamanchili, Y. Joshi and S. Mukhopadhyay, “Active Fluidic Cooling on Energy Constrained System-on-Chip Systems,” in IEEE Transactions on Components, Packaging and Manufacturing Technology, vol. 7, no. 11, pp. 1813-1822, Nov. 2017.
  2. W. Yueh, Z. Wan, Y. Joshi, and S. Mukhopadhyay, “Design, Characterization, and Application of a Field Programmable Thermal Emulation Platform”, IEEE Transactions on Component, Packaging, and Manufacturing Technology (TCPMT), vol. 6, no. 9, Sept. 2016, pp. 1330-1339.
  3. J. Kung, W. Yueh, S. Yalamanchili, and S. Mukhopadhyay, “Post-silicon Estimation of Spatiotemporal Temperature Variations Using MIMO Thermal Filters,” IEEE Transactions on Component, Packaging, and Manufacturing Technology (TCPMT), vol.5, no.5, May 2015, pp.650 – 660.
  4. B. Alexandrov, O. Sullivan, W. Song, S. Yalamanchili, S. Kumar, and S. Mukhopadhyay, “Control Principles and On-chip Circuits for Active Cooling using Integrated Super Lattice Based Thin-Film Thermoelectric Devices,” IEEE Transactions on VLSI Systems (TVLSI), vol. 22, no. 9, September 2014, pp. 1909-1919.
  5. M. Cho, C. Kersey, M. P. Gupta, N. Sathe, S. Kumar, S. Yalamanchili, and S. Mukhopadhyay, “Power Multiplexing for Thermal Field Management in Many Core Processors,” IEEE Transactions on Components, Packaging, and Manufacturing Technologies (TCPMT), vol. 3, no. 1, January 2013, pp. 94-104. Received IEEE TCPMT Best Paper Award, 2013.
  6. S. Chatterjee, S. Salahuddin, S. Kumar, and S. Mukhopadhyay, “Impact of Self-Heating on Reliability of Spin-Torque-Transfer RAM Cell,” IEEE Transactions on Electron Devices (TED), Vol. 59, No. 3, March 2012, pp. 791-799.