Recent Publications in Power Delivery and Voltage Regulations

Conference Presentations/Papers

  1. V. Chekuri, N. M. Rahman, E. Lee, A. Singh, and S. Mukhopadhyay, “A Fully Synthesized Integrated Buck Regulator with Auto-generated GDS-II in 65nm CMOS Process,” IEEE Custom Integrated Circuit Conference, March 2020.
  2. V. Chekuri, N. M. Rahman, N. Dasari, E. Lee, A. Singh, and S. Mukhopadhyay,Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs,” GOMACTECH, March 2020.
  3. H. M. Torun, H. Yu, Dasari, V. Chekuri, A. Singh, J. Kim, S. K. Lim, S. Mukhopadhyay, and M. Swaminathan, “A Spectral Convolutional Net for Co-Optimization of Integrated Voltage Regulators and Embedded Inductors,” International Conference on Computer Aided Design (ICCAD), November 2019.
  4. V. Chekuri, N. Dasari, A. Singh,and S. Mukhopadhyay, “Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs,” IEEE International Symposium on Low-power Electronics and Design(ISLPED), July 2019.
  5. V. Chekuri, A. Singh, N. Dasari, and S. Mukhopadhyay, “On the Effect of NBTI Induced Aging of Power Stage on the Transient Performance of On-Chip Voltage Regulators,” International Reliability Physics Symposium(IRPS), April 2019.
  6. H. M. Torun, N. Dasari, A. Singh, M. Lee,J. Kim, H. Park, H. Kwon, E. Qin, T. Krishna, S. K. Lim, S. Mukhopadhyay and M. Swaminathan, “Design Space Exploration of Power Delivery in Heterogeneous Integration,” GOMACTECH, March 2019.
  7. E. Lee, M.F. Amir, S. Sivapurapu, C. Pardue, H.M. Torun, M. Swaminathan, and S. Mukhopadhyay, “A System-In-Package Based Energy Harvesting for IoT Devices with Integrated Voltage Regulators and Embedded Inductors,” IEEE Electronic Component and Technology Conference (ECTC), 2018.
  8. V. Chekuri, M. Kar, A. Singh, and S. Mukhopadhyay, “Performance Based Tuning of an Inductive Integrated Voltage Regulator Driving a Digital Core against Process and Passive Variations,” Design, Automation, and Test in Europe (DATE), 2018.
  9. Z. Ahmed and S. Mukhopadhyay, “A Single-Inductor-Cascaded-Stage Topology for High Conversion Ratio Boost Regulator,” IEEE International Conference on Computer Design (ICCD), 2016.
  10. M. Kar, A. Singh, A. Rajan, V. De and S. Mukhopadhyay. “An Integrated Inductive VR with a 250MHz All-Digital Multisampled Compensator and on-Chip Auto-Tuning of Coefficients in 130nm CMOS.” IEEE European Solid State Circuit Conference (ESSCIRC), 2016.
  11. K. Z. Ahmed, M. F. Amir, J. H. Ko, and S. Mukhopadhyay, “Reconfigurable 96×128 Active Pixel Sensor with 2.1mW/mm2 Power Generation and Regulated Multidomain Power Delivery for Self-Powered Imaging,” IEEE European Solid State Circuit Conference (ESSCIRC), 2016.
  12. M. Kar, D. Lie, M. Wolf, V. De, and S. Mukhopadhyay, “Impact of Inductive Integrated Voltage Regulator on the Power Attack Vulnerability of Encryption Engines: A Simulation Study,” IEEE Custom Integrated Circuit Conference (CICC), Sept. 2014.
  13. S. Carlo, W. Yueh, and S. Mukhopadhyay, “On the Potential of 3D Integration of Inductive DC-DC Converter for High-Performance Power Delivery,” Design Automation Conference (DAC), June 2013.

Journal Articles

  1. A. Singh, M. Kar, V. Chekuri, S. Matthew, A. Rajan, V. De, and S. Mukhopadhyay, “A Digital Low-Dropout Regulator with Auto-Tuned PID Compensator and Dynamic Gain Control for Improved Transient Performance under Process Variations and Aging,” IEEE Transactions on Power Electronics (TPEL), vol. 35, no. 3, March 2020, pp. 3242 – 3253.
  2. V. Chekuri, M. Kar, A. Singh, and S. Mukhopadhyay, “Auto-tuning of Integrated Inductive Voltage Regulator using On-chip Delay Sensor to Tolerate Process and Passive Variations,” IEEE Transactions on VLSI Systems (TVLSI), vol. 27, no. 8, Aug. 2019, pp. 1768 – 1778.
  3. C. A. Pardue, A. K. Davis , M. Bellaredj , M. F. Amir, S. Mukhopadhyay, and M. Swaminathan, “Reverse Power Delivery Network for Wireless Power Transfer,” IEEE Microwave and Wireless Components Letters, vol. 28, no. 7, July 2018, pp. 624-626.
  4. J. H. Ko, M. F. Amir, K. Z. Ahmed, T. Na and S. Mukhopadhyay, “ IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 64, no. 9, Sept. 2017, pp. 2295-2307.
  5. M. Kar, A. Singh, A. Rajan, V. De, and S. Mukhopadhyay, “An All-Digital Fully Integrated Inductive Buck Regulator with A 250MHz Multisampled Compensator and A Lightweight Auto-Tuner in 130nm CMOS,” IEEE Journal of Solid State Circuits (JSSC), vol. 52, no. 7, July 2017, pp. 1825 – 1835.
  6. S. Carlo, and S. Mukhopadhyay, “A High Power Density, Dynamic Voltage Scaling Compatible, Single-Inductor Four-Output Regulator using a Power-Weighted CCM Controller and a Floating Capacitor-Based Output Filter,” IEEE Transactions on Power Electronics (TPE), Vol.31, No.6, June 2016, pp. 4252-4264.
  7. M. Kar, K. Z. Ahmed, and S. Mukhopadhyay, “A Scalable Hybrid Regulator For Down Conversion of High Input Voltage Using Low Voltage Devices,” IEEE Transactions on Power Electronics (TPE), Vol.31, No.3, March 2016, pp.1857-1862.
  8. K. Z. Ahmed and S. Mukhopadhyay, “A 190nA Bias Current 10mV Input Multi-Stage Boost Regulator with Intermediate Node Control to Supply RF Blocks in Self-powered Wireless Sensors,” IEEE Transaction on Power Electronics (TPE), Vol. 31, No. 2, Feb. 2016, pp. 1322-1333.
  9. Z. Ahmed, and S. Mukhopadhyay, “A wide conversion ratio, extended input 3.5µA Boost Regulator with 82% Efficiency for Low Voltage Energy Harvesting,” IEEE Transactions on Power Electronics, vol.29, no.9, Sept. 2014, pp. 4776-4786.